KIT | KIT-Bibliothek | Impressum | Datenschutz

Fair scheduling for AVX2 and AVX-512 workloads

Gottschlag, Mathias ORCID iD icon; Machauer, Philipp; Khalil, Yussuf; Bellosa, Frank

Abstract:

CPU schedulers such as the Linux Completely Fair Scheduler try to allocate equal shares of the CPU performance to tasks of equal priority by allocating equal CPU time as a technique to improve quality of service for individual tasks. Recently, CPUs have, however, become power-limited to the point where different subsets of the instruction set allow for different operating frequencies depending on the complexity of the instructions. In particular, Intel CPUs with support for AVX2 and AVX-512 instructions often reduce their frequency when these 256-bit and 512-bit SIMD instructions are used in order to prevent excessive power consumption. This frequency reduction often impacts other less power-intensive processes, in which case equal allocation of CPU time results in unequal performance and a substantial lack of performance isolation.

We describe a modification to existing schedulers to restore fairness for workloads involving tasks which execute complex power-intensive instructions. In particular, we present a technique to identify AVX2/AVX-512 tasks responsible for frequency reduction, and we modify CPU time accounting to increase the priority of other tasks slowed down by these AVX2/AVX-512 tasks. ... mehr


Scopus
Zitationen: 3
Zugehörige Institution(en) am KIT Institut für Technische Informatik (ITEC)
Publikationstyp Proceedingsbeitrag
Publikationsjahr 2021
Sprache Englisch
Identifikator ISBN: 978-1-939133-23-6
KITopen-ID: 1000136485
Erschienen in Proceedings of the 2021 USENIX Annual Technical Conference : July 14-16, 2021
Veranstaltung USENIX Annual Technical Conference (2021), Online, 14.07.2021 – 16.07.2021
Verlag Advanced Computing Systems Association (USENIX)
Seiten 745-758
Externe Relationen Abstract/Volltext
Nachgewiesen in Scopus
KIT – Die Forschungsuniversität in der Helmholtz-Gemeinschaft
KITopen Landing Page